Server/ODSA

From OpenCompute
Jump to navigation Jump to search
OCP-Open-compute-ODSA-icon-3x-v1-38a.png

Welcome

Welcome to the OCP Open Domain-Specific Architecture subgroup under OCP Server Work Group.

Project Leadership

Sub Project Lead

- Bapi Vinnakota

General Information

Charter (TBD)

- Intro to ODSA
- ODSA Open Accelerator Architecture


Open Domain-Specific Accelerators: What Are They & Why Should They Matter?

- ODSA webinar with Light Reading: December 19th, 2018
- ODSA White Paper

Get Involved

- ODSA Chiplet Usage Survey
- Mailing List
- OCP website (Server Project)
- OCP Calendar


Active Projects

Project Objective Point of Contact Meeting Schedule
PHY Analysis PHY requirements, PHY analysis & cross-PHY abstraction (PIPE) Robert Wang (PIPE spec) Weekly on Tuesday 9am Pacific
BoW Interface No technology license fee, east to port inter-chiplet interface spec Bapi Vinnakota Ad-Hoc
Prototype Product that integrates existing die from muktiple companies into one package Jayaprakash Balachandran Weekly on Wednesdays 1:30pm Pacific
Chiplet Design Exchange Open chiplet physical description format Jawad Nasrullah Weekly on Wednesdays 1:30pm Pacific
Link and Network Layer Interface and implementations - requirements and proposals Bapi Vinnakota Weekly on Thursdays 1pm Pacific
Multi-chiplet test Test requirements for an open chiplet interface TBD TBD
Chiplet Monitoring Monitoring infrastructure for chiplets TBD TBD
Business workflow Formalize learnings from prototype effort Sam Fuller Weekly on Tuesdays 3pm Pacific
Overall Sub-Project meeting Bapi Vinnakota Weekly on Fridays 8am Pacific

Active documents

Type Description Version Submit Date Contributor License Notes
Introduction odsa_ocp_intro.pdf n/a 2/27/19 Netronome n/a Presented in 2/27 Server WG call. Introduction to ODSA sub-group under Server Project. Future entries will be in the ODSA WIki at: https://www.opencompute.org/wiki/Server/OAM
White Paper (Draft) Bunch of Wires Interface Proposal Rev 0.7 10/26/19 Authors: Mark Kuemerle, Ramin Farjad, Bapi Vinnakota OCP CRLA

Meeting Schedule & Call Link

Every Friday at 8am Pacific

Call Link (visit the OCP Server Project Calendar for other dial-in information)

Upcoming In Person Meetings

TBD

Recordings from Past Calls

- January 3rd, 2020 Recording Minutes
- December 13th, 2019 Recording Minutes
- December 6th, 2019 Recording Minutes
- November 22nd, 2019 Recording Minutes
- November 15th, 2019 Recording Minutes
- November 8th, 2019 Recording Minutes
- October 25th, 2019 Recording Minutes
- October 18th, 2019 Recording Minutes
- October 11th, 2019 Recording Minutes
- October 4th, 2019 Recording Minutes
- September 20th, 2019 Recording Minutes
- September 6th, 2019 Recording Minutes
- August 23rd, 2019 Recording Minutes
- August 16th, 2019 Recording Minutes
- August 9th, 2019 Recording Minutes
- July 26th, 2019 Recording Minutes
- July 19th, 2019 Recording Minutes
- July 12th, 2019 Recording Minutes
- June 21st, 2019 Recording Minutes
- June 14th, 2019 Recording Minutes
- May 31st, 2019 Recording Minutes
- May 24th, 2019 Recording Minutes
- May 17th, 2019 Recording Minutes
- May 10th, 2019 Recording Minutes Modern Design Methodologies for Next-Gen Multi-Chip(let) Packaging Presentation - John Park, Cadence Protocols On Die-to-Die Interfaces Presentation - David Kehlet, Intel
- May 3rd, 2019 Recording Minutes Chipset Design Exchange Presentation - Jawad Nasrullah, zGlue
- April 26th, 2019 Recording Minutes
- April 19th, 2019 Recording Minutes SIP Design Flow Presentation - Jawad Nasrullah, zGlue
- April 12th, 2019 Recording Minutes
- April 5th, 2019 Recording Minutes

Past Events

ODSA Workshop @ Facebook: December 18th, 2019:
Topic Speaker Affiliation Slides
ODSA Introduction Bapi Vinnakota OCP Slides
Facebook tech talk 1 - Co-packaged Optics Katharine Schmidkte Facebook Slides
Facebook tech talk 2 - D2D Interface Ron Ho Facebook Slides
IHS Markit survey results + Business workstream update Sam Fuller NXP Slides
Mapping protocols to D2D PHYs (Link Layer, PIPE,DiPort) David Kehlet, Jaideep Dastidar, Sam Fuller, Imran Ahmed Intel, NXP, Xilinx, AnalogX Slides
PoC Discussion JP Balachandran, Joshua Raiff, Jawad Nasrullah, Sam Fuller, Jim Tavacoli, Quinn Jacobson Cisco, Facebook, zGlue, NXP, Lattice, Achronix Slides
Open HBI D2D Interface Workstream Kenneth Ma Xilinx Slides
Cadence D2D Interface Rishi Chugh/Wendy Wu Cadence Design Systems Slides
BoW workgroup status update Ramin Farjadrad Marvell Slides
BoW interface initialization and calibration Prakash Radhakrishnan SiFive
Chiplets test panel Dave Armstrong, Rajamani Sethuram, Liz Jensen, Karen Darbinyan Advantest, Synopsys, nVidia, Cadence Design Systems Armstrong Slides, Jensen Slides, Sethuram Slides, Zorian Slides
CDX workstream updates Jawad Nasrullah zGlue Slides
Multiphysics simulation for chiplets Chris Ortiz ANSYS Slides



ODSA Workshop @ OCP Regional Summit: September 26th-27th, 2019:
Topic Speaker Video Slides
Executive Panel Collaborative Silicon Development Moderator: Bapi Vinnakota (ODSA) Video Slides
ODSA POC Quinn Jacobson (Achronix), Jawad Nasrullah (zGlue), Jayaprakash Balachandran (Cisco) Video Slides
Motivation for ODSA/Fit into OCP Dharmesh Jani (Facebook) Video Slides
The Open Domain Specific Architechure - A Technical Introduction Bapi Vinnakota (ODSA) Video Slides
Bunch of Wires Interface Ramin Farjad (Aquantia), Mark Kuemerle (Global Foundries) Video Slides
The Chiplet Design Exchange Jawad Nasrullah (zGlue) Video Slides
The Impact of Domain Specific Acceleration and Chiplet Technology on the Semiconductor and Electronics Business (Avera Semi) Video [Slides]
ODSA Workshop @ IBM: September 12th, 2019: Video Pictures
Topic Speaker Affiliation Slides
Welcome/Logistics Bapi Vinnakota/Mendy Furmanek Netronome/IBM
Intro to OCP Archna Haylock OCP
IBM Welcome Jeff Welser/Mendy Furmanek IBM
Exploiting Composable Heterogeneity through Open Architectures Josh Friedrich, Jeff Stuecheli IBM Slides
ODSA Technical Intro/Progress Summary Bapi Vinnakota Netronome Slides
PoC Progress and Call for Participation Jayaprakash Balachandran/Jawad Nasrullah/Manish Shah Cisco/zGlue/Achronix Slides
BoW Interface Update Mark Kuemerle Avera Semi Slides
PIPE Interface Update Robert Wang Analog X Slides
Link Layer update David Kehlet Intel Slides
Open Uncore Panel Z. Bandic, S. Fields, D. Jani, M. Kuemerle, mod B. Vinnakota
Monitoring Ashwin Poojary Facebook Slides
Simulation Modeling Animesh Mishra/Robbie Adler Intel Slides
Xilinx and Chiplets Millind Mittal Xilinx Slides
IEEE Heterogeneous Integration Roadmap Bill Chen IEEE Slides
ASE Heterogeneous Packaging Eelco Bergman ASE Slides
Packaging and Test Panel E. Bergman, M. Peng, W. Sauter, M. Hutner, mod S. Fuller IEEE/IBM/Tesla/Avera/ASE Video
ODSA Workshop @ Intel: June 10th, 2019: Pictures Video
Topic Speaker Affiliation Slides
Welcome/Logistics Bapi Vinnakota/Animish Mishra Netronome/Intel Slides
Intel Welcome Ahmad Zaidi Intel
Intel Chiplets and Packaging Ramune Nagisetti and Ravi Mahajan Intel Slides
Virtual Chiplet Platform Animish Mishra/Robbie Adler Intel Slides
ODSA Technical Intro Bapi Vinnakota Netronome Slides
PHY Review/BoM Interface Update Ramin Farjadrad/Mark Kuemerle Aquantia/Avera Semi Slides
AIB/Link Layer/Call to Action David Kehlet Intel Slides
Advanced Packaging for Chiplets Surya Bhattacharya IME Singapore Slides
Chiplet Design Experience Panel Intel/AMD/Cisco/eSilicon/Xilinx Slides
CDX - Chiplet Design Exchange Jawad Nasrullah/Alex zGlue/Ayar Labs Slides
Chiplet Microassembly Printer & Microsprings Eugene Chow Xerox PARC Slides
POC Update JP/Quinn/Manish/Jawad/Mark Cisco/Achronix/Samtec/zGlue Slides
Chiplet Workflow Experience Panel NXP/Avera Semi/Ayar Labs/Facebook/ Granite RIver Labs/Kandou Slides
ODSA Workshop @ Samsung: March 28th, 2019: Pictures
Topic Speaker Affiliation Slides
Welcome/Logistics Bapi Vinnakota/Jim Finnegan Netronome Slides
Welcome/Samsung Overview Craig Orr Samsung Slides
Accelerators Whitney Zhao Facebook Slides
ODSA technical introduction Bapi Vinnakota Netronome Slides
PHY Layer Review Greg Taylor zGlue Slides
BoW: Basic, Fast, Turbo Die-to-Die Open Interface Solutions Mark Kuemerle/Ramin Farjad Avera Semi/Aquantia Slides
Power distribution Ali Husain ON Semiconductor Slides
PIPE Adapter Brian Holden Kandou Slides
Design tools for muti-chip Jawad Nasrullah zGlue Slides
Speedster221 FPGA for POC Quinn Jacobson Achronix Slides
Firefly DirectConnect Options for ODSA Proof of Concept Marc, Sam, Quinn, Bapi   N/A Slides
Design Tools for Chiplet-Based Design Jawad Nasrullah zGlue Slides
Business models Sam Fuller/Jeff McGuire/Jim Finnegan NXP/Kandou/Netronome Slides
Working w/ OCP, PoC JDA, Closing Archna Haylock, Bill Carter OCP Slides
ODSA Sub-Project Launch @ OCP Global Summit: March 14-15th, 2019:
Topic Speaker Video Slides
Open Domain-Specific Architecture (ODSA) Sub-project Launch Bapi Vinnakota (Netronome) Video Slides

ODSA Workshop @ Netronome: January 29th, 2019

- Video
- Slides